في إتش دي إل: الفرق بين النسختين

[نسخة منشورة][نسخة منشورة]
تم حذف المحتوى تمت إضافة المحتوى
ط بوت:أضاف 1 تصنيف
JarBot (نقاش | مساهمات)
ط بوت:إصلاح تحويلات القوالب
سطر 1:
{{صندوق معلومات لغة برمجة
|اسم = لغة توصيف العتاد للدارات المتكاملة ذات السرعات المرتفعة جداً
|شعار =
سطر 19:
}}
 
'''في إتش دي أل''' أو '''لغة توصيف العتاد للدارات المتكاملة ذات السرعات المرتفعة جداً''' {{إنج|Very High Speed Integreted Circuit Hardware Description Language اختصاراً VHDL}} هي [[لغة برمجة]] قياسية صممت من قبل [[وزارة دفاع الولايات المتحدة]] حيث تستعمل في وصف، وتصميم، ومحاكاة دارات [[VHSIC]]. أصبحت منذ عام [[1987]] إحدى [[مقيس|مقايس]] [[جمعية مهندسي الكهرباء والإلكترونيات]] وتمت مراجعتها في عام [[1997]].<ref>{{citeاستشهاد bookبكتاب |عنوان= Military Standard, Standard general requirements for electronic equipment |سنة = 1992 |مؤلف= Department of Defense |مسار= http://everyspec.com/MIL-STD/MIL-STD-0300-0499/MIL-STD-454N_9160/ |تاريخ الوصول=November 15, 2017|مسار أرشيف= https://web.archive.org/web/20191211142101/http://everyspec.com/MIL-STD/MIL-STD-0300-0499/MIL-STD-454N_9160/|تاريخ أرشيف=2019-12-11}}</ref><ref>{{Citeاستشهاد bookبكتاب| عنوان = 1076-2002 – IEEE Standard VHDL Language Reference Manual| doi = 10.1109/IEEESTD.2002.93614| سنة = 2002| isbn = 0-7381-3247-0}}</ref><ref>{{Citeاستشهاد bookبكتاب| عنوان = 1076-1987 – IEEE Standard VHDL Language Reference Manual| doi = 10.1109/IEEESTD.1988.122645| سنة = 1988| isbn = 0-7381-4324-3}}</ref>
 
تتيح هذه اللغة توصيف التوازي والترابط بالإضافة للتعبير المفصل والمباشر للزمن وتسمح أيضا بتوصيف الدارات التماثلية لكنها غالبا ما تستخدم في تصميم دارات [[مصفوفة البوابات المنطقية القابلة للبرمجة]].
سطر 26:
وتسمح '''VHDL''' بإدخال المصفوفات في اتجاهين تصاعدي وتنازلي لأن كلا الا صطلاحين يستخدم في الـ '''HardWare''' ،والنسخة المعدلة الأولى من الـ '''VHDL''' تتضمن مجال واسع من أنواع البيانات كالنوع العددي(الصحيح والحقيقي)والمنطقي(البولياني والبتي)والنوع الحرفي والوقت ومصفوفة من النوع البتي التي تسمى الشعاع البتي والمصفوفة من نوع المحارف التي تسمى الشعاع السلسلة.
 
لغة '''VHDL''' هي لغة متعددة وعامة تماماً وعلى الرغم من أنها تتطلب برنامج محاكاة لتشغيل كود البرنامج فإنه يمكنها أن تقرأ وتكتب ملفات على كمبيوتر مضيفمضيف، ،إنإن '''VHDL''' تسمح بتوصيف النظام المتزامن (الأجزاء المقدمة بواسطة سلوك عملها مع بعضها البعض بنفس الوقت)وهي غير محببة كثيراً من لغات أخرى كلغة الـ Basic'''، pascal، '''c أو لغات التجميع التي تسمع على مستوى شيفرة الآلة بشكل متعاقب تعليمة واحدة كل مرة لكل بنيان.
وعندما يترجم نموذج '''VHDL''' إلى بوابات وأسلاك التي تكون منظمة على جهاز منطقي قابل للبرمجة مثل [[مصفوفة البوابات المنطقية القابلة للبرمجة]] أو''' CPLD ''' والعتاد الفعلي يحدد من شيفرة '''VHDL''' المنفذة كما في بعض أشكال شريحة المعالج.
 
== البداية ==
 
في لغة '''VHDL''' كما في أي لغة برمجة عتادية أو برمجية كي تكون خبيراً فإن ذلك يتطلب دراسة وتمرينوتمرين، ،وإذاوإذا كان المستخدم يرغب بتعلم تشفير'''RTL ''' كتصميم دارات عتادية عن طريق '''VHDL''' فإن حزم التصميم والتركيب الموجودة كافية للتعلم.إن معرض البوابات التخطيطية يظهر للمستخدم تصاميم مركبة كالمخططات القابلة للانقيادللانقياد، ،والكثيروالكثير من حزم التصميم '''FPGA''' تقدم طرق إدخال تصاميم بديلة مثل النسخ المؤقت لمخطط الحالة والرسوم التخطيطية.وهذه الحزم تزودنا بقالب بدء مفيد لتشفير الأنواع الأساسية من التعليمات التكرارية والدروس التي يتضمنها التي يتضمنها مفيدة ومساعدة.
تقريباً جميع نسخ المحاكاة وتصميم''' '''FPGA تدعم كلا '''Verilog''' و'''VHDL''' تسمح للمستخدم بتعلم كلا اللغتين.
بالإضافة إلى أن معظم التصاميم المستوردة نماذج من المكتبات وبعض التصاميم التي تتضمن عدة